Каталог стандартов

+7 (495) 223-46-76 +7 (812) 309-78-59
inform@normdocs.ru

IEC 62530:2011 ed2.0

Заменен
SystemVerilog - Unified Hardware Design, Specification, and Verification Language — 1251 стр.
IEC 62530:2011(E) Provides a unified Hardware Design, Specification, and Verification language. IEEE Std 1364TM-2005 Verilog is a design language. Both standards were approved by the IEEE-SASB in November 2005. This standard creates new revisions of the IEEE 1364 Verilog and IEEE 1800 SystemVerilog standards, which include errata fixes and resolutions, enhancements, enhanced assertion language, merger of Verilog Language Reference Manual (LRM) and SystemVerilog 1800 LRM into a single LRM, integration with Verilog-AMS, and ensures interoperability with other languages such as SystemC and VHDL. This publication has the status of a double logo IEEE/IEC standard.
ICS
25.040.01 Industrial automation systems in general / Промышленные автоматизированные системы в целом